pkgsrc-WIP-changes archive

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index][Old Index]

ghdl-yosys-plugin: early commit to seek help on yosys buildlink issue



Module Name:	pkgsrc-wip
Committed By:	mayuresh <mayuresh%acm.org@localhost>
Pushed By:	mayuresh
Date:		Sun Sep 1 20:43:31 2024 +0530
Changeset:	fcc2f769965a5c8f564452330a9b40284bbd821f

Added Files:
	ghdl-yosys-plugin/DESCR
	ghdl-yosys-plugin/Makefile
	ghdl-yosys-plugin/PLIST
	ghdl-yosys-plugin/TODO
	ghdl-yosys-plugin/distinfo

Log Message:
ghdl-yosys-plugin: early commit to seek help on yosys buildlink issue

To see a diff of this commit:
https://wip.pkgsrc.org/cgi-bin/gitweb.cgi?p=pkgsrc-wip.git;a=commitdiff;h=fcc2f769965a5c8f564452330a9b40284bbd821f

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

diffstat:
 ghdl-yosys-plugin/DESCR    | 23 +++++++++++++++++++++++
 ghdl-yosys-plugin/Makefile | 24 ++++++++++++++++++++++++
 ghdl-yosys-plugin/PLIST    |  4 ++++
 ghdl-yosys-plugin/TODO     |  1 +
 ghdl-yosys-plugin/distinfo |  5 +++++
 5 files changed, 57 insertions(+)

diffs:
diff --git a/ghdl-yosys-plugin/DESCR b/ghdl-yosys-plugin/DESCR
new file mode 100644
index 0000000000..878d584ee9
--- /dev/null
+++ b/ghdl-yosys-plugin/DESCR
@@ -0,0 +1,23 @@
+TODO: Adjust the following lines from README.md
+
+<p align="center">
+  <a title="GHDL synthesis documentation" href="https://ghdl.github.io/ghdl/using/Synthesis.html";><img src="https://img.shields.io/website.svg?label=ghdl.github.io%2Fghdl&longCache=true&style=flat-square&url=http%3A%2F%2Fghdl.github.io%2Fghdl%2Findex.html";></a><!--
+  -->
+  <a title="Join the chat at https://gitter.im/ghdl1/Lobby"; href="https://gitter.im/ghdl1/Lobby?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge";><img src="https://img.shields.io/badge/chat-on%20gitter-4db797.svg?longCache=true&style=flat-square&logo=gitter&logoColor=e8ecef";></a><!--
+  -->
+  <a title="Docker Images" href="https://github.com/ghdl/docker";><img src="https://img.shields.io/docker/pulls/ghdl/synth.svg?logo=docker&logoColor=e8ecef&style=flat-square&label=docker";></a><!--
+  -->
+  <a title="'push' workflow Status" href="https://github.com/ghdl/ghdl-yosys-plugin/actions/workflows/push.yml";><img alt="'push' workflow Status" src="https://img.shields.io/github/actions/workflow/status/ghdl/ghdl-yosys-plugin/push.yml?branch=master&longCache=true&style=flat-square&label=push&logo=Github%20Actions&logoColor=fff";></a>
+</p>
+
+# ghdl-yosys-plugin: VHDL synthesis (based on [GHDL](https://github.com/ghdl/ghdl) and [Yosys](https://github.com/YosysHQ/yosys))
+
+**This is experimental and work in progress!** See [ghdl.github.io/ghdl: Using/Synthesis](http://ghdl.github.io/ghdl/using/Synthesis.html).
+
+> TODO: Create table with features of VHDL that are supported, WIP and pending.
+
+## Build as a module (shared library)
+
+> On Windows, Yosys does not support loading modules dynamically. Therefore, this build approach is not possible. See [*Build as part of Yosys*](#build-as-part-of-yosys-not-recommended) below.
+
+...
diff --git a/ghdl-yosys-plugin/Makefile b/ghdl-yosys-plugin/Makefile
new file mode 100644
index 0000000000..4f61f3da63
--- /dev/null
+++ b/ghdl-yosys-plugin/Makefile
@@ -0,0 +1,24 @@
+# $NetBSD$
+
+GITHUB_PROJECT=	ghdl-yosys-plugin
+GITHUB_TAG=	511412f984d64ed7c46c4bdbd839f4b3c48f6fa5
+DISTNAME=	511412f984d64ed7c46c4bdbd839f4b3c48f6fa5
+PKGNAME=	${GITHUB_PROJECT}-0.0.0.224
+CATEGORIES=	# TODO: add primary category
+MASTER_SITES=	${MASTER_SITE_GITHUB:=ghdl/}
+DIST_SUBDIR=	${GITHUB_PROJECT}
+
+MAINTAINER=	INSERT_YOUR_MAIL_ADDRESS_HERE # or use pkgsrc-users%NetBSD.org@localhost
+HOMEPAGE=	https://github.com/ghdl/ghdl-yosys-plugin/
+COMMENT=	TODO: Short description of the package
+#LICENSE=	# TODO: (see mk/license.mk)
+
+WRKSRC=		${WRKDIR}/ghdl-yosys-plugin-511412f984d64ed7c46c4bdbd839f4b3c48f6fa5
+USE_LANGUAGES=	c c++
+
+USE_TOOLS+=	yosys-config ghdl gmake
+
+TOOL_DEPENDS=	yosys-[0-9]*:../../devel/yosys
+TOOL_DEPENDS=	ghdl-4*:../../cad/ghdl
+
+.include "../../mk/bsd.pkg.mk"
diff --git a/ghdl-yosys-plugin/PLIST b/ghdl-yosys-plugin/PLIST
new file mode 100644
index 0000000000..92ba51a2d7
--- /dev/null
+++ b/ghdl-yosys-plugin/PLIST
@@ -0,0 +1,4 @@
+@comment $NetBSD$
+@comment TODO: to fill this file with the file listing:
+@comment TODO: 1. run "/usr/bin/make package"
+@comment TODO: 2. run "/usr/bin/make print-PLIST"
diff --git a/ghdl-yosys-plugin/TODO b/ghdl-yosys-plugin/TODO
new file mode 100644
index 0000000000..cf0a3056dd
--- /dev/null
+++ b/ghdl-yosys-plugin/TODO
@@ -0,0 +1 @@
+- Looking for suggestion on buidlinking of yosys headers, committing if someone wishes to look into
diff --git a/ghdl-yosys-plugin/distinfo b/ghdl-yosys-plugin/distinfo
new file mode 100644
index 0000000000..33e4dc7d1a
--- /dev/null
+++ b/ghdl-yosys-plugin/distinfo
@@ -0,0 +1,5 @@
+$NetBSD$
+
+BLAKE2s (ghdl-yosys-plugin/511412f984d64ed7c46c4bdbd839f4b3c48f6fa5-511412f984d64ed7c46c4bdbd839f4b3c48f6fa5.tar.gz) = 76d60512fd243da1db41fdab27996215c07c077edd41dd66c61f33136d23453a
+SHA512 (ghdl-yosys-plugin/511412f984d64ed7c46c4bdbd839f4b3c48f6fa5-511412f984d64ed7c46c4bdbd839f4b3c48f6fa5.tar.gz) = ab4fe615c1c089ac0cc81527a4cd819acad96ed258770adfa7bbc562ca3e03819d56a5c68fa208f8fae49f674026bcc3bb9ef6b89e5f2c70abf79db1ce0060a3
+Size (ghdl-yosys-plugin/511412f984d64ed7c46c4bdbd839f4b3c48f6fa5-511412f984d64ed7c46c4bdbd839f4b3c48f6fa5.tar.gz) = 83439 bytes


Home | Main Index | Thread Index | Old Index